summaryrefslogtreecommitdiff
path: root/src/intel
AgeCommit message (Expand)AuthorFilesLines
2019-01-29anv: fix invalid binding table index computationLionel Landwerlin1-4/+2
2019-01-29anv: Only parse pImmutableSamplers if the descriptor has samplersJason Ekstrand1-12/+31
2019-01-29anv/device: fix maximum number of images supportedIago Toral Quiroga4-13/+28
2019-01-29anv/nir: Rework arguments to apply_pipeline_layoutJason Ekstrand3-4/+8
2019-01-11intel/peephole_ffma: Fix swizzle propagationJason Ekstrand1-4/+7
2019-01-11intel/fs: Respect CHV/BXT regioning restrictions in copy propagation pass.Francisco Jerez2-0/+38
2019-01-11intel/eu/gen7: Fix brw_MOV() with DF destination and strided source.Francisco Jerez1-7/+4
2019-01-11intel/fs: Fix bug in lower_simd_width while splitting an instruction which wa...Francisco Jerez1-2/+5
2019-01-11intel/fs: Implement quad swizzles on ICL+.Francisco Jerez3-18/+97
2019-01-11intel/fs: Handle source modifiers in lower_integer_multiplication().Francisco Jerez2-2/+37
2019-01-11anv: flush fast clear colors into compressed surfacesLionel Landwerlin1-0/+49
2019-01-11anv: explictly specify format for blorp ccs/mcs opLionel Landwerlin3-16/+30
2019-01-11anv/apply_pipeline_layout: Set the cursor in lower_res_reindex_intrinsicJason Ekstrand1-0/+2
2019-01-11anv/android: Do not reject storage images.Bas Nieuwenhuizen1-8/+2
2019-01-11intel/blorp: Be more conservative about copying clear colorsJason Ekstrand1-3/+6
2019-01-11anv: don't do partial resolve on layer > 0Lionel Landwerlin1-0/+8
2019-01-11i965/vec4/dce: Don't narrow the write mask if the flags are usedIan Romanick4-10/+208
2018-12-11anv,radv: Disable VK_EXT_pci_bus_infoJason Ekstrand1-1/+1
2018-12-05anv/query: flush render target before copying resultsLionel Landwerlin5-0/+32
2018-12-05mesa: Revert INTEL_fragment_shader_ordering supportMatt Turner1-1/+0
2018-11-30anv: flush pipeline before query result copiesLionel Landwerlin1-5/+4
2018-11-27anv: correctly use vulkan 1.0 by defaultEric Engestrom1-1/+1
2018-11-22anv: Put robust buffer access in the pipeline hashJason Ekstrand1-0/+6
2018-11-08anv/android: mark gralloc allocated BOs as externalLionel Landwerlin1-1/+1
2018-11-08intel/decoders: fix instruction base address parsingLionel Landwerlin2-2/+2
2018-11-01intel/tools: fix resource leakAndrii Simiklit1-0/+10
2018-11-01intel/tools: include stdarg.h in error2aubJonathan Gray1-0/+1
2018-10-31configure: allow building with python3Emil Velikov3-7/+7
2018-10-31tools/imgui: disable all warningsEric Engestrom1-1/+2
2018-10-30intel/compiler: Stop assuming the entrypoint is called "main"Jason Ekstrand4-23/+5
2018-10-30anv: Disable dual source blending when shader doesn't support it on gen8+Danylo Piliaiev1-10/+36
2018-10-30aub_viewer: show vertex buffer pitchEric Engestrom1-1/+1
2018-10-30anv: Bump the advertised patch version to 90Jason Ekstrand1-1/+1
2018-10-30intel: tools: Add handling for video pipeToni Lönnberg2-1/+30
2018-10-30intel/decoder: Use 'DWord Length' and 'bias' fields for packet length.Toni Lönnberg2-7/+25
2018-10-30intel/batch-decoder: remove never-used functionEric Engestrom2-42/+0
2018-10-29anv: add missing meson build dependencyEric Engestrom1-1/+1
2018-10-27anv: Use absolute timeouts in wait_for_bo_fencesJason Ekstrand1-42/+30
2018-10-27anv: Flag semaphore BOs as externalJason Ekstrand1-2/+3
2018-10-27anv: Improve the asserts in anv_buffer_get_rangeJason Ekstrand1-1/+2
2018-10-26Revert "anv/skylake: disable ForceThreadDispatchEnable"Jason Ekstrand1-35/+7
2018-10-26blorp: Emit a dummy 3DSTATE_WM prior to 3DSTATE_WM_HZ_OPJason Ekstrand1-0/+9
2018-10-26anv: Return VK_ERROR_DEVICE_LOST from anv_device_set_lostJason Ekstrand4-45/+32
2018-10-26anv/util: Split a vk_errorv helper out of vk_errorfJason Ekstrand2-6/+25
2018-10-26intel/blorp: Define the clear value bounds for HiZ clearsNanley Chery1-0/+14
2018-10-26vulkan: drop always-true paramEric Engestrom1-2/+0
2018-10-26intel/nir: Use the OPT macro for more passesJason Ekstrand1-3/+3
2018-10-26nir/builder: Add a nir_imm_true/false helpersJason Ekstrand1-1/+1
2018-10-26nir/validate: Print when the validation failedJason Ekstrand2-5/+5
2018-10-26anv: Handle the device loss abort in anv_device_set_lostJason Ekstrand2-5/+11