From c5d786f792f00741cc19e09232271edbbff58664 Mon Sep 17 00:00:00 2001 From: Steffen Grund Date: Tue, 19 Jan 2010 12:49:10 +0100 Subject: #161490# removed scheme vnd.sun.star.wfs --- svl/source/misc/urihelper.cxx | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) (limited to 'svl') diff --git a/svl/source/misc/urihelper.cxx b/svl/source/misc/urihelper.cxx index 5473bf1c995d..0ae0cd0ebf80 100644 --- a/svl/source/misc/urihelper.cxx +++ b/svl/source/misc/urihelper.cxx @@ -126,8 +126,7 @@ inline UniString SmartRel2Abs_Impl(INetURLObject const & rTheBaseURIRef, eStyle); if (bCheckFileExists && !bWasAbsolute - && (aAbsURIRef.GetProtocol() == INET_PROT_FILE - || aAbsURIRef.GetProtocol() == INET_PROT_VND_SUN_STAR_WFS)) + && (aAbsURIRef.GetProtocol() == INET_PROT_FILE)) { INetURLObject aNonFileURIRef; aNonFileURIRef.SetSmartURL(rTheRelURIRef, -- cgit v1.2.3 From eeca9dafb71c0ff288cd9a0683fd1dcb0626baca Mon Sep 17 00:00:00 2001 From: sb Date: Fri, 29 Jan 2010 17:01:54 +0100 Subject: sb118: #i108776# changed addsym.awk to also export STLport num_put symbols, and simplified it by requiring that first section is labeled UDK_3_0_0; adapted map files accordingly, replacing many individual ones with solenv/src templates --- basebmp/test/export.map | 2 +- basegfx/test/export.map | 2 +- canvas/source/cairo/exports.map | 8 -------- canvas/source/cairo/makefile.mk | 2 +- canvas/source/factory/canvasfactory.map | 8 -------- canvas/source/factory/makefile.mk | 2 +- canvas/source/null/exports.map | 8 -------- canvas/source/null/makefile.mk | 2 +- canvas/source/simplecanvas/exports.map | 8 -------- canvas/source/simplecanvas/makefile.mk | 2 +- canvas/source/vcl/exports.map | 8 -------- canvas/source/vcl/makefile.mk | 2 +- dtrans/source/generic/exports.map | 9 --------- dtrans/source/generic/makefile.mk | 2 +- dtrans/util/exports.map | 10 ---------- dtrans/util/makefile.mk | 2 +- goodies/source/filter.vcl/egif/exports.map | 2 +- goodies/source/filter.vcl/eos2met/exports.map | 2 +- goodies/source/filter.vcl/epbm/exports.map | 2 +- goodies/source/filter.vcl/epgm/exports.map | 2 +- goodies/source/filter.vcl/epict/exports.map | 2 +- goodies/source/filter.vcl/eppm/exports.map | 2 +- goodies/source/filter.vcl/eps/exports.map | 2 +- goodies/source/filter.vcl/eras/exports.map | 2 +- goodies/source/filter.vcl/etiff/exports.map | 2 +- goodies/source/filter.vcl/expm/exports.map | 2 +- goodies/source/filter.vcl/icgm/exports.map | 2 +- goodies/source/filter.vcl/idxf/exports.map | 2 +- goodies/source/filter.vcl/ieps/exports.map | 2 +- goodies/source/filter.vcl/ios2met/exports.map | 2 +- goodies/source/filter.vcl/ipbm/exports.map | 2 +- goodies/source/filter.vcl/ipcd/exports.map | 2 +- goodies/source/filter.vcl/ipcx/exports.map | 2 +- goodies/source/filter.vcl/ipict/exports.map | 2 +- goodies/source/filter.vcl/ipsd/exports.map | 2 +- goodies/source/filter.vcl/iras/exports.map | 2 +- goodies/source/filter.vcl/itga/exports.map | 2 +- goodies/source/filter.vcl/itiff/exports.map | 2 +- i18npool/source/breakiterator/data/dict.map | 2 +- i18npool/source/collator/data/collator_data.map | 2 +- i18npool/source/indexentry/data/index_data.map | 2 +- i18npool/source/localedata/data/localedata_en.map | 2 +- i18npool/source/localedata/data/localedata_es.map | 2 +- i18npool/source/localedata/data/localedata_euro.map | 2 +- i18npool/source/localedata/data/localedata_others.map | 2 +- i18npool/source/search/i18nsearch.map | 10 ---------- i18npool/source/search/makefile.mk | 2 +- i18npool/source/textconversion/data/textconv_dict.map | 2 +- i18npool/util/i18npool.map | 10 ---------- i18npool/util/makefile.mk | 2 +- o3tl/qa/export.map | 2 +- svl/qa/complex/ConfigItems/helper/exports.map | 10 ---------- svl/qa/complex/ConfigItems/helper/makefile.mk | 2 +- svl/source/fsstor/exports.map | 8 -------- svl/source/fsstor/makefile.mk | 2 +- svl/source/passwordcontainer/exports.map | 8 -------- svl/source/passwordcontainer/makefile.mk | 2 +- svtools/source/hatchwindow/exports.map | 8 -------- svtools/source/hatchwindow/makefile.mk | 2 +- svtools/source/productregistration/exports.map | 8 -------- svtools/source/productregistration/makefile.mk | 2 +- svtools/workben/unodialog/makefile.mk | 2 +- svtools/workben/unodialog/udlg.map | 8 -------- tools/test/export.map | 2 +- ucbhelper/workben/myucp/exports.map | 8 -------- ucbhelper/workben/myucp/makefile.mk | 2 +- 66 files changed, 50 insertions(+), 187 deletions(-) delete mode 100644 canvas/source/cairo/exports.map delete mode 100644 canvas/source/factory/canvasfactory.map delete mode 100644 canvas/source/null/exports.map delete mode 100644 canvas/source/simplecanvas/exports.map delete mode 100644 canvas/source/vcl/exports.map delete mode 100644 dtrans/source/generic/exports.map delete mode 100644 dtrans/util/exports.map delete mode 100644 i18npool/source/search/i18nsearch.map delete mode 100644 i18npool/util/i18npool.map delete mode 100644 svl/qa/complex/ConfigItems/helper/exports.map delete mode 100644 svl/source/fsstor/exports.map delete mode 100644 svl/source/passwordcontainer/exports.map delete mode 100644 svtools/source/hatchwindow/exports.map delete mode 100644 svtools/source/productregistration/exports.map delete mode 100644 svtools/workben/unodialog/udlg.map delete mode 100644 ucbhelper/workben/myucp/exports.map (limited to 'svl') diff --git a/basebmp/test/export.map b/basebmp/test/export.map index ac406a4096dd..9122b9e99025 100644 --- a/basebmp/test/export.map +++ b/basebmp/test/export.map @@ -29,7 +29,7 @@ # #************************************************************************* -UDK_3.1 { +UDK_3_0_0 { global: cppunitTestPlugIn; diff --git a/basegfx/test/export.map b/basegfx/test/export.map index eef13a7090fb..e5e669b3c436 100644 --- a/basegfx/test/export.map +++ b/basegfx/test/export.map @@ -29,7 +29,7 @@ # #************************************************************************* -UDK_3.1 { +UDK_3_0_0 { global: cppunitTestPlugIn; diff --git a/canvas/source/cairo/exports.map b/canvas/source/cairo/exports.map deleted file mode 100644 index 4101b0d761a9..000000000000 --- a/canvas/source/cairo/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -CAN_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/canvas/source/cairo/makefile.mk b/canvas/source/cairo/makefile.mk index 27a1aeb6dcd7..1c88f6e501d3 100644 --- a/canvas/source/cairo/makefile.mk +++ b/canvas/source/cairo/makefile.mk @@ -125,7 +125,7 @@ SHL1IMPLIB=i$(TARGET) SHL1LIBS=$(SLB)$/$(TARGET).lib SHL1DEF=$(MISC)$/$(SHL1TARGET).def -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map DEF1NAME=$(SHL1TARGET) DEF1EXPORTFILE=exports.dxp diff --git a/canvas/source/factory/canvasfactory.map b/canvas/source/factory/canvasfactory.map deleted file mode 100644 index 44fb01f37126..000000000000 --- a/canvas/source/factory/canvasfactory.map +++ /dev/null @@ -1,8 +0,0 @@ -CAN_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/canvas/source/factory/makefile.mk b/canvas/source/factory/makefile.mk index 1051b953ae81..af43916b0b8b 100644 --- a/canvas/source/factory/makefile.mk +++ b/canvas/source/factory/makefile.mk @@ -47,7 +47,7 @@ SHL1STDLIBS = \ $(CPPULIB) \ $(CPPUHELPERLIB) \ $(SALLIB) -SHL1VERSIONMAP = canvasfactory.map +SHL1VERSIONMAP = $(SOLARENV)/src/component.map SHL1DEPN = SHL1IMPLIB = i$(TARGET) diff --git a/canvas/source/null/exports.map b/canvas/source/null/exports.map deleted file mode 100644 index 4101b0d761a9..000000000000 --- a/canvas/source/null/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -CAN_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/canvas/source/null/makefile.mk b/canvas/source/null/makefile.mk index 99a6bbab93a4..834b75e5a23b 100644 --- a/canvas/source/null/makefile.mk +++ b/canvas/source/null/makefile.mk @@ -64,7 +64,7 @@ SHL1IMPLIB=i$(TARGET) SHL1LIBS=$(SLB)$/$(TARGET).lib SHL1DEF=$(MISC)$/$(SHL1TARGET).def -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map DEF1NAME=$(SHL1TARGET) DEF1EXPORTFILE=exports.dxp diff --git a/canvas/source/simplecanvas/exports.map b/canvas/source/simplecanvas/exports.map deleted file mode 100644 index 4101b0d761a9..000000000000 --- a/canvas/source/simplecanvas/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -CAN_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/canvas/source/simplecanvas/makefile.mk b/canvas/source/simplecanvas/makefile.mk index c0a60250ab85..3f045775efc7 100644 --- a/canvas/source/simplecanvas/makefile.mk +++ b/canvas/source/simplecanvas/makefile.mk @@ -56,7 +56,7 @@ SHL1IMPLIB=i$(TARGET) SHL1LIBS=$(SLB)$/$(TARGET).lib SHL1DEF=$(MISC)$/$(SHL1TARGET).def -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map DEF1NAME=$(SHL1TARGET) DEF1EXPORTFILE=exports.dxp diff --git a/canvas/source/vcl/exports.map b/canvas/source/vcl/exports.map deleted file mode 100644 index 4101b0d761a9..000000000000 --- a/canvas/source/vcl/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -CAN_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/canvas/source/vcl/makefile.mk b/canvas/source/vcl/makefile.mk index fdfdd62d16b8..96a2688e134f 100644 --- a/canvas/source/vcl/makefile.mk +++ b/canvas/source/vcl/makefile.mk @@ -79,7 +79,7 @@ SHL1IMPLIB=i$(TARGET) SHL1LIBS=$(SLB)$/$(TARGET).lib SHL1DEF=$(MISC)$/$(SHL1TARGET).def -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map DEF1NAME=$(SHL1TARGET) DEF1EXPORTFILE=exports.dxp diff --git a/dtrans/source/generic/exports.map b/dtrans/source/generic/exports.map deleted file mode 100644 index 28449cc0f4a8..000000000000 --- a/dtrans/source/generic/exports.map +++ /dev/null @@ -1,9 +0,0 @@ -DTRANS_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; - diff --git a/dtrans/source/generic/makefile.mk b/dtrans/source/generic/makefile.mk index aa856865a3a8..32386db10734 100644 --- a/dtrans/source/generic/makefile.mk +++ b/dtrans/source/generic/makefile.mk @@ -50,7 +50,7 @@ SLOFILES= \ SHL1TARGET= dtrans -SHL1VERSIONMAP= exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1STDLIBS= \ $(SALLIB) \ diff --git a/dtrans/util/exports.map b/dtrans/util/exports.map deleted file mode 100644 index 7c56baa9bd73..000000000000 --- a/dtrans/util/exports.map +++ /dev/null @@ -1,10 +0,0 @@ -UDK_3_0_0 { - global: - GetVersionInfo; - component_getImplementationEnvironment; - component_getFactory; - component_writeInfo; - - local: - *; -}; diff --git a/dtrans/util/makefile.mk b/dtrans/util/makefile.mk index 2e9666137619..255f772113c8 100644 --- a/dtrans/util/makefile.mk +++ b/dtrans/util/makefile.mk @@ -68,7 +68,7 @@ SHL1IMPLIB=i$(SHL1TARGET) SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) DEF1EXPORTFILE= exports.dxp -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map .IF "$(GUI)"=="WNT" diff --git a/goodies/source/filter.vcl/egif/exports.map b/goodies/source/filter.vcl/egif/exports.map index 10820132c0ce..61e4682c6551 100644 --- a/goodies/source/filter.vcl/egif/exports.map +++ b/goodies/source/filter.vcl/egif/exports.map @@ -1,4 +1,4 @@ -GIFEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/eos2met/exports.map b/goodies/source/filter.vcl/eos2met/exports.map index ce76d3850094..61e4682c6551 100644 --- a/goodies/source/filter.vcl/eos2met/exports.map +++ b/goodies/source/filter.vcl/eos2met/exports.map @@ -1,4 +1,4 @@ -METEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/epbm/exports.map b/goodies/source/filter.vcl/epbm/exports.map index 2d09b0bdb752..61e4682c6551 100644 --- a/goodies/source/filter.vcl/epbm/exports.map +++ b/goodies/source/filter.vcl/epbm/exports.map @@ -1,4 +1,4 @@ -PBMEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/epgm/exports.map b/goodies/source/filter.vcl/epgm/exports.map index 3967c4684f05..61e4682c6551 100644 --- a/goodies/source/filter.vcl/epgm/exports.map +++ b/goodies/source/filter.vcl/epgm/exports.map @@ -1,4 +1,4 @@ -PGMEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/epict/exports.map b/goodies/source/filter.vcl/epict/exports.map index 2f21c5c04b53..61e4682c6551 100644 --- a/goodies/source/filter.vcl/epict/exports.map +++ b/goodies/source/filter.vcl/epict/exports.map @@ -1,4 +1,4 @@ -PICTEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/eppm/exports.map b/goodies/source/filter.vcl/eppm/exports.map index 0462b75b7b43..61e4682c6551 100644 --- a/goodies/source/filter.vcl/eppm/exports.map +++ b/goodies/source/filter.vcl/eppm/exports.map @@ -1,4 +1,4 @@ -PPMEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/eps/exports.map b/goodies/source/filter.vcl/eps/exports.map index 1b61354c2869..61e4682c6551 100644 --- a/goodies/source/filter.vcl/eps/exports.map +++ b/goodies/source/filter.vcl/eps/exports.map @@ -1,4 +1,4 @@ -EPSEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; DoExportDialog; diff --git a/goodies/source/filter.vcl/eras/exports.map b/goodies/source/filter.vcl/eras/exports.map index 4a78a96ee951..d4e28c44700c 100644 --- a/goodies/source/filter.vcl/eras/exports.map +++ b/goodies/source/filter.vcl/eras/exports.map @@ -1,4 +1,4 @@ -RASEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; diff --git a/goodies/source/filter.vcl/etiff/exports.map b/goodies/source/filter.vcl/etiff/exports.map index 094e72c0f5fb..d4e28c44700c 100644 --- a/goodies/source/filter.vcl/etiff/exports.map +++ b/goodies/source/filter.vcl/etiff/exports.map @@ -1,4 +1,4 @@ -TIFEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; diff --git a/goodies/source/filter.vcl/expm/exports.map b/goodies/source/filter.vcl/expm/exports.map index f1f42312c802..d4e28c44700c 100644 --- a/goodies/source/filter.vcl/expm/exports.map +++ b/goodies/source/filter.vcl/expm/exports.map @@ -1,4 +1,4 @@ -XPMEXPORTER_1_0 { +UDK_3_0_0 { global: GraphicExport; diff --git a/goodies/source/filter.vcl/icgm/exports.map b/goodies/source/filter.vcl/icgm/exports.map index eaa1db885d5e..cc7f07dd1112 100644 --- a/goodies/source/filter.vcl/icgm/exports.map +++ b/goodies/source/filter.vcl/icgm/exports.map @@ -1,4 +1,4 @@ -CGMIMPORTER_1_0 { +UDK_3_0_0 { global: ImportCGM; diff --git a/goodies/source/filter.vcl/idxf/exports.map b/goodies/source/filter.vcl/idxf/exports.map index d107435568e5..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/idxf/exports.map +++ b/goodies/source/filter.vcl/idxf/exports.map @@ -1,4 +1,4 @@ -DXFIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ieps/exports.map b/goodies/source/filter.vcl/ieps/exports.map index 59406d7255ef..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ieps/exports.map +++ b/goodies/source/filter.vcl/ieps/exports.map @@ -1,4 +1,4 @@ -EPSIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ios2met/exports.map b/goodies/source/filter.vcl/ios2met/exports.map index 440afa9245d8..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ios2met/exports.map +++ b/goodies/source/filter.vcl/ios2met/exports.map @@ -1,4 +1,4 @@ -METIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ipbm/exports.map b/goodies/source/filter.vcl/ipbm/exports.map index 0e2a1bcdec9d..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ipbm/exports.map +++ b/goodies/source/filter.vcl/ipbm/exports.map @@ -1,4 +1,4 @@ -PBMIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ipcd/exports.map b/goodies/source/filter.vcl/ipcd/exports.map index a0719af28be2..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ipcd/exports.map +++ b/goodies/source/filter.vcl/ipcd/exports.map @@ -1,4 +1,4 @@ -PCDIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ipcx/exports.map b/goodies/source/filter.vcl/ipcx/exports.map index d44f79111a96..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ipcx/exports.map +++ b/goodies/source/filter.vcl/ipcx/exports.map @@ -1,4 +1,4 @@ -PCXIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ipict/exports.map b/goodies/source/filter.vcl/ipict/exports.map index 2576cecdca91..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ipict/exports.map +++ b/goodies/source/filter.vcl/ipict/exports.map @@ -1,4 +1,4 @@ -PICTIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/ipsd/exports.map b/goodies/source/filter.vcl/ipsd/exports.map index b2cda91bec78..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/ipsd/exports.map +++ b/goodies/source/filter.vcl/ipsd/exports.map @@ -1,4 +1,4 @@ -PSDIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/iras/exports.map b/goodies/source/filter.vcl/iras/exports.map index ebd8464837fa..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/iras/exports.map +++ b/goodies/source/filter.vcl/iras/exports.map @@ -1,4 +1,4 @@ -RASIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/itga/exports.map b/goodies/source/filter.vcl/itga/exports.map index cb127f330e5d..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/itga/exports.map +++ b/goodies/source/filter.vcl/itga/exports.map @@ -1,4 +1,4 @@ -TGAIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/goodies/source/filter.vcl/itiff/exports.map b/goodies/source/filter.vcl/itiff/exports.map index 983df223406e..941e4ab9bde8 100644 --- a/goodies/source/filter.vcl/itiff/exports.map +++ b/goodies/source/filter.vcl/itiff/exports.map @@ -1,4 +1,4 @@ -TIFIMPORTER_1_0 { +UDK_3_0_0 { global: GraphicImport; diff --git a/i18npool/source/breakiterator/data/dict.map b/i18npool/source/breakiterator/data/dict.map index 271ba8b2b83c..ebd4f9185d1f 100644 --- a/i18npool/source/breakiterator/data/dict.map +++ b/i18npool/source/breakiterator/data/dict.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; getDataArea; diff --git a/i18npool/source/collator/data/collator_data.map b/i18npool/source/collator/data/collator_data.map index 09f298912ee9..412635f19eed 100644 --- a/i18npool/source/collator/data/collator_data.map +++ b/i18npool/source/collator/data/collator_data.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; get_zh_charset; diff --git a/i18npool/source/indexentry/data/index_data.map b/i18npool/source/indexentry/data/index_data.map index b9add8b3a6f8..30d03853d6ad 100644 --- a/i18npool/source/indexentry/data/index_data.map +++ b/i18npool/source/indexentry/data/index_data.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; get_indexdata_ko_dict; diff --git a/i18npool/source/localedata/data/localedata_en.map b/i18npool/source/localedata/data/localedata_en.map index 10e5a998c932..ab13f033745c 100644 --- a/i18npool/source/localedata/data/localedata_en.map +++ b/i18npool/source/localedata/data/localedata_en.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; getAllCalendars_en_AU; diff --git a/i18npool/source/localedata/data/localedata_es.map b/i18npool/source/localedata/data/localedata_es.map index edcdd4e153e3..7743539232a6 100644 --- a/i18npool/source/localedata/data/localedata_es.map +++ b/i18npool/source/localedata/data/localedata_es.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; getAllCalendars_es_AR; diff --git a/i18npool/source/localedata/data/localedata_euro.map b/i18npool/source/localedata/data/localedata_euro.map index 1a28eb537bd5..e64bf08261b8 100644 --- a/i18npool/source/localedata/data/localedata_euro.map +++ b/i18npool/source/localedata/data/localedata_euro.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; getAllCalendars_ast_ES; diff --git a/i18npool/source/localedata/data/localedata_others.map b/i18npool/source/localedata/data/localedata_others.map index a8212624e8e8..7a4fa6cd072c 100644 --- a/i18npool/source/localedata/data/localedata_others.map +++ b/i18npool/source/localedata/data/localedata_others.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: getAllCalendars_af_NA; getAllCalendars_af_ZA; diff --git a/i18npool/source/search/i18nsearch.map b/i18npool/source/search/i18nsearch.map deleted file mode 100644 index 02fe32ac5839..000000000000 --- a/i18npool/source/search/i18nsearch.map +++ /dev/null @@ -1,10 +0,0 @@ -UDK_3_0_0 { -global: - GetVersionInfo; - component_getImplementationEnvironment; - component_getFactory; - component_writeInfo; - -local: - *; -}; diff --git a/i18npool/source/search/makefile.mk b/i18npool/source/search/makefile.mk index 2e81ec3f1d53..ad10347bffcc 100644 --- a/i18npool/source/search/makefile.mk +++ b/i18npool/source/search/makefile.mk @@ -72,7 +72,7 @@ SHL1STDLIBS= \ $(I18NREGEXPLIB) SHL1DEPN= makefile.mk -SHL1VERSIONMAP= i18nsearch.map +SHL1VERSIONMAP= $(SOLARENV)/src/component.map SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) diff --git a/i18npool/source/textconversion/data/textconv_dict.map b/i18npool/source/textconversion/data/textconv_dict.map index 03f9073c5d86..afd873b6f38e 100644 --- a/i18npool/source/textconversion/data/textconv_dict.map +++ b/i18npool/source/textconversion/data/textconv_dict.map @@ -1,4 +1,4 @@ -OOO_1.1 { +UDK_3_0_0 { global: GetVersionInfo; getHangul2HanjaData; diff --git a/i18npool/util/i18npool.map b/i18npool/util/i18npool.map deleted file mode 100644 index 02fe32ac5839..000000000000 --- a/i18npool/util/i18npool.map +++ /dev/null @@ -1,10 +0,0 @@ -UDK_3_0_0 { -global: - GetVersionInfo; - component_getImplementationEnvironment; - component_getFactory; - component_writeInfo; - -local: - *; -}; diff --git a/i18npool/util/makefile.mk b/i18npool/util/makefile.mk index 582089a309e8..6ea6ab1fd2ef 100644 --- a/i18npool/util/makefile.mk +++ b/i18npool/util/makefile.mk @@ -64,7 +64,7 @@ SHL1IMPLIB= i$(SHL1TARGET) SHL1DEPN= makefile.mk \ $(SLB)/i18nisolang$(ISOLANG_MAJOR)$(COMID).lib -SHL1VERSIONMAP=$(PRJNAME).map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1DEF=$(MISC)$/$(SHL1TARGET).def DEF1NAME=$(SHL1TARGET) diff --git a/o3tl/qa/export.map b/o3tl/qa/export.map index ac406a4096dd..9122b9e99025 100644 --- a/o3tl/qa/export.map +++ b/o3tl/qa/export.map @@ -29,7 +29,7 @@ # #************************************************************************* -UDK_3.1 { +UDK_3_0_0 { global: cppunitTestPlugIn; diff --git a/svl/qa/complex/ConfigItems/helper/exports.map b/svl/qa/complex/ConfigItems/helper/exports.map deleted file mode 100644 index 85610ad80888..000000000000 --- a/svl/qa/complex/ConfigItems/helper/exports.map +++ /dev/null @@ -1,10 +0,0 @@ -UDK_3_0_0 { - global: - GetVersionInfo; - component_getImplementationEnvironment; - component_getFactory; - component_writeInfo; - - local: - *; -}; diff --git a/svl/qa/complex/ConfigItems/helper/makefile.mk b/svl/qa/complex/ConfigItems/helper/makefile.mk index d41fe6c129c6..c0557afac25d 100644 --- a/svl/qa/complex/ConfigItems/helper/makefile.mk +++ b/svl/qa/complex/ConfigItems/helper/makefile.mk @@ -73,7 +73,7 @@ SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) -SHL1VERSIONMAP= exports.map +SHL1VERSIONMAP= $(SOLARENV)/src/component.map # --- Targets ------------------------------------------------------ diff --git a/svl/source/fsstor/exports.map b/svl/source/fsstor/exports.map deleted file mode 100644 index f4ed78b9e970..000000000000 --- a/svl/source/fsstor/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -UDK_3_0_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/svl/source/fsstor/makefile.mk b/svl/source/fsstor/makefile.mk index 7f46009750fd..a4b5e5d7fc50 100644 --- a/svl/source/fsstor/makefile.mk +++ b/svl/source/fsstor/makefile.mk @@ -60,7 +60,7 @@ SHL1STDLIBS=\ $(CPPULIB) \ $(SALLIB) -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) diff --git a/svl/source/passwordcontainer/exports.map b/svl/source/passwordcontainer/exports.map deleted file mode 100644 index f4ed78b9e970..000000000000 --- a/svl/source/passwordcontainer/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -UDK_3_0_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/svl/source/passwordcontainer/makefile.mk b/svl/source/passwordcontainer/makefile.mk index 3c74246ee3ed..e9dd4b68e2aa 100644 --- a/svl/source/passwordcontainer/makefile.mk +++ b/svl/source/passwordcontainer/makefile.mk @@ -56,7 +56,7 @@ SHL1STDLIBS=\ $(CPPULIB) \ $(SALLIB) -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) diff --git a/svtools/source/hatchwindow/exports.map b/svtools/source/hatchwindow/exports.map deleted file mode 100644 index f4ed78b9e970..000000000000 --- a/svtools/source/hatchwindow/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -UDK_3_0_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/svtools/source/hatchwindow/makefile.mk b/svtools/source/hatchwindow/makefile.mk index 8366763a81f9..6b99aa8484a6 100644 --- a/svtools/source/hatchwindow/makefile.mk +++ b/svtools/source/hatchwindow/makefile.mk @@ -59,7 +59,7 @@ SHL1STDLIBS=\ $(CPPULIB) \ $(SALLIB) -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) diff --git a/svtools/source/productregistration/exports.map b/svtools/source/productregistration/exports.map deleted file mode 100644 index f4ed78b9e970..000000000000 --- a/svtools/source/productregistration/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -UDK_3_0_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/svtools/source/productregistration/makefile.mk b/svtools/source/productregistration/makefile.mk index 1892f1600dd8..86bfd4308e08 100644 --- a/svtools/source/productregistration/makefile.mk +++ b/svtools/source/productregistration/makefile.mk @@ -63,7 +63,7 @@ SHL1STDLIBS=\ $(CPPULIB) \ $(SALLIB) -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1DEF= $(MISC)$/$(SHL1TARGET).def DEF1NAME= $(SHL1TARGET) diff --git a/svtools/workben/unodialog/makefile.mk b/svtools/workben/unodialog/makefile.mk index c6cd6e5d8491..9ad708884b2d 100644 --- a/svtools/workben/unodialog/makefile.mk +++ b/svtools/workben/unodialog/makefile.mk @@ -60,7 +60,7 @@ SLOFILES= $(SLO)$/unodialogsample.obj \ # --- library ----------------------------------- SHL1TARGET=$(TARGET)$(DLLPOSTFIX) -SHL1VERSIONMAP=$(TARGET).map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map SHL1STDLIBS= \ $(CPPULIB) \ diff --git a/svtools/workben/unodialog/udlg.map b/svtools/workben/unodialog/udlg.map deleted file mode 100644 index 276f9673d067..000000000000 --- a/svtools/workben/unodialog/udlg.map +++ /dev/null @@ -1,8 +0,0 @@ -UDLG_1_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/tools/test/export.map b/tools/test/export.map index 1953f105dc08..df94ae98ee5c 100644 --- a/tools/test/export.map +++ b/tools/test/export.map @@ -29,7 +29,7 @@ # #************************************************************************* -UDK_3.1 { +UDK_3_0_0 { global: registerAllTestFunction; diff --git a/ucbhelper/workben/myucp/exports.map b/ucbhelper/workben/myucp/exports.map deleted file mode 100644 index f4ed78b9e970..000000000000 --- a/ucbhelper/workben/myucp/exports.map +++ /dev/null @@ -1,8 +0,0 @@ -UDK_3_0_0 { - global: - component_getImplementationEnvironment; - component_writeInfo; - component_getFactory; - local: - *; -}; diff --git a/ucbhelper/workben/myucp/makefile.mk b/ucbhelper/workben/myucp/makefile.mk index ca6b3f941b0e..256bd6946dd2 100644 --- a/ucbhelper/workben/myucp/makefile.mk +++ b/ucbhelper/workben/myucp/makefile.mk @@ -72,7 +72,7 @@ LIB1OBJFILES=$(SLOFILES) SHL1TARGET=$(TARGET) SHL1IMPLIB=i$(TARGET) -SHL1VERSIONMAP=exports.map +SHL1VERSIONMAP=$(SOLARENV)/src/component.map # @@@ Add additional libs here. SHL1STDLIBS=\ -- cgit v1.2.3 From 624a9db6cff42903d72a58784f7b247be727062d Mon Sep 17 00:00:00 2001 From: Kohei Yoshida Date: Wed, 3 Feb 2010 21:44:04 -0500 Subject: koheiautodecimal: #i26826# Prefer scientific notation for values less than 1.0E-4. --- svl/source/numbers/zformat.cxx | 27 +++++++++++++++++++++++++++ 1 file changed, 27 insertions(+) (limited to 'svl') diff --git a/svl/source/numbers/zformat.cxx b/svl/source/numbers/zformat.cxx index 8c49ed34c5a7..56cb6c80eb63 100644 --- a/svl/source/numbers/zformat.cxx +++ b/svl/source/numbers/zformat.cxx @@ -71,6 +71,7 @@ struct Gregorian }; const sal_uInt16 UPPER_PRECISION = 300; // entirely arbitrary... +const double EXP_LOWER_BOUND = 1.0E-4; // prefer scientific notation below this value. } @@ -2012,6 +2013,12 @@ bool SvNumberformat::GetOutputString(double fNumber, sal_uInt16 nCharCount, Stri if (bSign) fTestNum = -fTestNum; + if (fTestNum < EXP_LOWER_BOUND) + { + lcl_GetOutputStringScientific(fNumber, nCharCount, GetFormatter(), rOutString); + return true; + } + double fExp = log10(fTestNum); // Values < 1.0 always have one digit before the decimal point. sal_uInt16 nDigitPre = fExp >= 0.0 ? static_cast(ceil(fExp)) : 1; @@ -2072,7 +2079,27 @@ BOOL SvNumberformat::GetOutputString(double fNumber, { if (rScan.GetStandardPrec() == SvNumberFormatter::UNLIMITED_PRECISION) { + bool bSign = ::rtl::math::isSignBitSet(fNumber); + if (bSign) + fNumber = -fNumber; ImpGetOutputInputLine(fNumber, OutString); + if (fNumber < EXP_LOWER_BOUND) + { + xub_StrLen nLen = OutString.Len(); + if (!nLen) + return false; + + if (nLen > 11) + { + sal_uInt16 nStandardPrec = rScan.GetStandardPrec(); + nStandardPrec = ::std::min(nStandardPrec, static_cast(14)); // limits to 14 decimals + OutString = ::rtl::math::doubleToUString( fNumber, + rtl_math_StringFormat_E, nStandardPrec /*2*/, + GetFormatter().GetNumDecimalSep().GetChar(0), true); + } + } + if (bSign) + OutString.Insert('-', 0); return false; } ImpGetOutputStandard(fNumber, OutString); -- cgit v1.2.3 From 7c85f9d5fe0c0d387115d8ad863b39496349bb1b Mon Sep 17 00:00:00 2001 From: Rene Engelhard Date: Thu, 4 Mar 2010 00:11:19 +0100 Subject: sb118: add missing CFLAGS+= $(CPPUNIT_CFLAGS) to loads of other makefiles, too --- basebmp/test/makefile.mk | 4 ++++ basegfx/test/makefile.mk | 4 ++++ comphelper/qa/makefile.mk | 4 ++++ o3tl/qa/makefile.mk | 5 +++++ svl/qa/makefile.mk | 4 ++++ tools/qa/makefile.mk | 4 ++++ tools/test/makefile.mk | 4 ++++ 7 files changed, 29 insertions(+) (limited to 'svl') diff --git a/basebmp/test/makefile.mk b/basebmp/test/makefile.mk index 610468b313fe..7dd374898641 100644 --- a/basebmp/test/makefile.mk +++ b/basebmp/test/makefile.mk @@ -60,6 +60,10 @@ CDEFS+=-xalias_level=compatible .ENDIF .ENDIF +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS += $(CPPUNIT_CFLAGS) +.ENDIF + # --- Common ---------------------------------------------------------- .IF "$(L10N_framework)"=="" diff --git a/basegfx/test/makefile.mk b/basegfx/test/makefile.mk index 73a157e7dc3a..e29f69bd3516 100644 --- a/basegfx/test/makefile.mk +++ b/basegfx/test/makefile.mk @@ -36,6 +36,10 @@ ENABLE_EXCEPTIONS=TRUE .INCLUDE : settings.mk +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS += $(CPPUNIT_CFLAGS) +.ENDIF + # --- Common ---------------------------------------------------------- SHL1OBJS= \ diff --git a/comphelper/qa/makefile.mk b/comphelper/qa/makefile.mk index 6e715675bb03..7c91456ac084 100644 --- a/comphelper/qa/makefile.mk +++ b/comphelper/qa/makefile.mk @@ -33,6 +33,10 @@ ENABLE_EXCEPTIONS := TRUE .INCLUDE: settings.mk +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS+= $(CPPUNIT_CFLAGS) +.ENDIF + DLLPRE = # no leading "lib" on .so files INCPRE += $(MISC)$/$(TARGET)$/inc diff --git a/o3tl/qa/makefile.mk b/o3tl/qa/makefile.mk index c75c3e972eea..c126c90b0771 100644 --- a/o3tl/qa/makefile.mk +++ b/o3tl/qa/makefile.mk @@ -35,6 +35,11 @@ ENABLE_EXCEPTIONS=TRUE # --- Settings ----------------------------------------------------- .INCLUDE : settings.mk + +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS+= $(CPPUNIT_CFLAGS) +.ENDIF + .IF "$(L10N_framework)"=="" # --- Common ---------------------------------------------------------- diff --git a/svl/qa/makefile.mk b/svl/qa/makefile.mk index 4e107e31f924..072dfe7093bc 100644 --- a/svl/qa/makefile.mk +++ b/svl/qa/makefile.mk @@ -35,6 +35,10 @@ ENABLE_EXCEPTIONS = true .INCLUDE : settings.mk +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS+= $(CPPUNIT_CFLAGS) +.ENDIF + # BEGIN ---------------------------------------------------------------- # auto generated Target:job by codegen.pl SHL1OBJS= \ diff --git a/tools/qa/makefile.mk b/tools/qa/makefile.mk index 66ed19e20737..4c633aad1946 100644 --- a/tools/qa/makefile.mk +++ b/tools/qa/makefile.mk @@ -32,6 +32,10 @@ ENABLE_EXCEPTIONS = TRUE .INCLUDE: settings.mk +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS+= $(CPPUNIT_CFLAGS) +.ENDIF + DLLPRE = # no leading "lib" on .so files SHL1TARGET = test_pathutils diff --git a/tools/test/makefile.mk b/tools/test/makefile.mk index 5dea8ce6f960..7f00fe2748b3 100644 --- a/tools/test/makefile.mk +++ b/tools/test/makefile.mk @@ -36,6 +36,10 @@ ENABLE_EXCEPTIONS=TRUE .INCLUDE : settings.mk +.IF "$(SYSTEM_CPPUNIT)"=="YES" +CFLAGS+= $(CPPUNIT_CFLAGS) +.ENDIF + # --- Common ---------------------------------------------------------- SHL1OBJS= \ -- cgit v1.2.3 From 1f8c841f58229855518cf09a3058288b0a65e403 Mon Sep 17 00:00:00 2001 From: sb Date: Thu, 4 Mar 2010 22:13:08 +0100 Subject: sb118: #i109791# improved CPPUNIT_CFLAGS handling --- basebmp/test/makefile.mk | 4 +--- basegfx/test/makefile.mk | 4 +--- comphelper/qa/makefile.mk | 4 +--- o3tl/qa/makefile.mk | 4 +--- svl/qa/makefile.mk | 4 +--- tools/qa/makefile.mk | 5 +---- tools/test/makefile.mk | 4 +--- 7 files changed, 7 insertions(+), 22 deletions(-) (limited to 'svl') diff --git a/basebmp/test/makefile.mk b/basebmp/test/makefile.mk index 7dd374898641..ca77721716f7 100644 --- a/basebmp/test/makefile.mk +++ b/basebmp/test/makefile.mk @@ -60,9 +60,7 @@ CDEFS+=-xalias_level=compatible .ENDIF .ENDIF -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS += $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) # --- Common ---------------------------------------------------------- .IF "$(L10N_framework)"=="" diff --git a/basegfx/test/makefile.mk b/basegfx/test/makefile.mk index e29f69bd3516..79e65f1af5fa 100644 --- a/basegfx/test/makefile.mk +++ b/basegfx/test/makefile.mk @@ -36,9 +36,7 @@ ENABLE_EXCEPTIONS=TRUE .INCLUDE : settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS += $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) # --- Common ---------------------------------------------------------- diff --git a/comphelper/qa/makefile.mk b/comphelper/qa/makefile.mk index 7c91456ac084..0360d308529e 100644 --- a/comphelper/qa/makefile.mk +++ b/comphelper/qa/makefile.mk @@ -33,9 +33,7 @@ ENABLE_EXCEPTIONS := TRUE .INCLUDE: settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS+= $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) DLLPRE = # no leading "lib" on .so files diff --git a/o3tl/qa/makefile.mk b/o3tl/qa/makefile.mk index c126c90b0771..c6fbc52ce2b1 100644 --- a/o3tl/qa/makefile.mk +++ b/o3tl/qa/makefile.mk @@ -36,9 +36,7 @@ ENABLE_EXCEPTIONS=TRUE .INCLUDE : settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS+= $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) .IF "$(L10N_framework)"=="" # --- Common ---------------------------------------------------------- diff --git a/svl/qa/makefile.mk b/svl/qa/makefile.mk index 072dfe7093bc..7e8c7ee795cc 100644 --- a/svl/qa/makefile.mk +++ b/svl/qa/makefile.mk @@ -35,9 +35,7 @@ ENABLE_EXCEPTIONS = true .INCLUDE : settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS+= $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) # BEGIN ---------------------------------------------------------------- # auto generated Target:job by codegen.pl diff --git a/tools/qa/makefile.mk b/tools/qa/makefile.mk index 4c633aad1946..abaea848c1db 100644 --- a/tools/qa/makefile.mk +++ b/tools/qa/makefile.mk @@ -32,10 +32,7 @@ ENABLE_EXCEPTIONS = TRUE .INCLUDE: settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS+= $(CPPUNIT_CFLAGS) -.ENDIF - +CFLAGSCXX += $(CPPUNIT_CFLAGS) DLLPRE = # no leading "lib" on .so files SHL1TARGET = test_pathutils diff --git a/tools/test/makefile.mk b/tools/test/makefile.mk index 7f00fe2748b3..ab2cfd8e6676 100644 --- a/tools/test/makefile.mk +++ b/tools/test/makefile.mk @@ -36,9 +36,7 @@ ENABLE_EXCEPTIONS=TRUE .INCLUDE : settings.mk -.IF "$(SYSTEM_CPPUNIT)"=="YES" -CFLAGS+= $(CPPUNIT_CFLAGS) -.ENDIF +CFLAGSCXX += $(CPPUNIT_CFLAGS) # --- Common ---------------------------------------------------------- -- cgit v1.2.3 From fb85b7b2cd5ffe32d061ea58027f84872c6e923f Mon Sep 17 00:00:00 2001 From: "Eike Rathke [er]" Date: Fri, 5 Mar 2010 00:30:14 +0100 Subject: dr73: #i109823# uninitialized variable; patch from --- svl/source/numbers/zformat.cxx | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'svl') diff --git a/svl/source/numbers/zformat.cxx b/svl/source/numbers/zformat.cxx index 52d37b9cd26f..5aac50347c34 100644 --- a/svl/source/numbers/zformat.cxx +++ b/svl/source/numbers/zformat.cxx @@ -678,7 +678,7 @@ SvNumberformat::SvNumberformat(String& rString, xub_StrLen nAnzChars = ImpGetNumber(rString, nPos, sStr); if (nAnzChars > 0) { - short F_Type; + short F_Type = NUMBERFORMAT_UNDEFINED; if (!pISc->IsNumberFormat(sStr,F_Type,fNumber) || ( F_Type != NUMBERFORMAT_NUMBER && F_Type != NUMBERFORMAT_SCIENTIFIC) ) -- cgit v1.2.3 From d4ba2aa9c5f60d1eccb923daff4a6fcd0c063aba Mon Sep 17 00:00:00 2001 From: sb Date: Fri, 12 Mar 2010 11:28:15 +0100 Subject: sb121: #i109146# fixed assertion logic --- svl/source/misc/inettype.cxx | 10 ++++++---- 1 file changed, 6 insertions(+), 4 deletions(-) (limited to 'svl') diff --git a/svl/source/misc/inettype.cxx b/svl/source/misc/inettype.cxx index bec8b91e7c22..74c900a2bcfc 100644 --- a/svl/source/misc/inettype.cxx +++ b/svl/source/misc/inettype.cxx @@ -806,16 +806,18 @@ namespace unnamed_svl_inettype { MediaTypeEntry const * seekEntry(UniString const & rTypeName, MediaTypeEntry const * pMap, sal_Size nSize) { -#if defined DBG_UTIL || defined INETTYPE_DEBUG +#if defined DBG_UTIL static bool bChecked = false; if (!bChecked) { for (sal_Size i = 0; i < nSize - 1; ++i) - DBG_ASSERT(pMap[i].m_pTypeName < pMap[i + 1].m_pTypeName, - "seekEntry(): Bad map"); + DBG_ASSERT( + rtl_str_compare( + pMap[i].m_pTypeName, pMap[i + 1].m_pTypeName) < 0, + "seekEntry(): Bad map"); bChecked = true; } -#endif // DBG_UTIL, INETTYPE_DEBUG +#endif sal_Size nLow = 0; sal_Size nHigh = nSize; -- cgit v1.2.3 From b02197c94c5ea37f388d359583b04a2595626d57 Mon Sep 17 00:00:00 2001 From: sb Date: Mon, 15 Mar 2010 16:30:16 +0100 Subject: sb121: #i109146# further fix in assertion logic (see ) --- svl/source/misc/inettype.cxx | 15 +++++---------- 1 file changed, 5 insertions(+), 10 deletions(-) (limited to 'svl') diff --git a/svl/source/misc/inettype.cxx b/svl/source/misc/inettype.cxx index 74c900a2bcfc..ee9aa5932525 100644 --- a/svl/source/misc/inettype.cxx +++ b/svl/source/misc/inettype.cxx @@ -807,16 +807,11 @@ MediaTypeEntry const * seekEntry(UniString const & rTypeName, MediaTypeEntry const * pMap, sal_Size nSize) { #if defined DBG_UTIL - static bool bChecked = false; - if (!bChecked) - { - for (sal_Size i = 0; i < nSize - 1; ++i) - DBG_ASSERT( - rtl_str_compare( - pMap[i].m_pTypeName, pMap[i + 1].m_pTypeName) < 0, - "seekEntry(): Bad map"); - bChecked = true; - } + for (sal_Size i = 0; i < nSize - 1; ++i) + DBG_ASSERT( + rtl_str_compare( + pMap[i].m_pTypeName, pMap[i + 1].m_pTypeName) < 0, + "seekEntry(): Bad map"); #endif sal_Size nLow = 0; -- cgit v1.2.3 From 0d26b21b603b07aa6dba089c12e8a6c66eade60a Mon Sep 17 00:00:00 2001 From: Christian Lippka Date: Fri, 16 Apr 2010 16:10:11 +0200 Subject: #i108132# dispose stylesheets to avoid dangling references --- svl/source/items/style.cxx | 22 +++++++++++++++++++++- 1 file changed, 21 insertions(+), 1 deletion(-) (limited to 'svl') diff --git a/svl/source/items/style.cxx b/svl/source/items/style.cxx index b8bed49b603b..60c622208d53 100644 --- a/svl/source/items/style.cxx +++ b/svl/source/items/style.cxx @@ -28,7 +28,8 @@ // MARKER(update_precomp.py): autogen include statement, do not remove #include "precompiled_svl.hxx" -#ifndef GCC +#ifndef _COM_SUN_STAR_LANG_XCOMPONENT_HPP_ +#include #endif #define _SVSTDARR_STRINGS @@ -808,6 +809,16 @@ void SfxStyleSheetBasePool::Remove( SfxStyleSheetBase* p ) { // Alle Styles umsetzen, deren Parent dieser hier ist ChangeParent( p->GetName(), p->GetParent() ); + + com::sun::star::uno::Reference< com::sun::star::lang::XComponent > xComp( static_cast< ::cppu::OWeakObject* >((*aIter).get()), com::sun::star::uno::UNO_QUERY ); + if( xComp.is() ) try + { + xComp->dispose(); + } + catch( com::sun::star::uno::Exception& ) + { + } + aStyles.erase(aIter); Broadcast( SfxStyleSheetHint( SFX_STYLESHEET_ERASED, *p ) ); } @@ -838,6 +849,15 @@ void SfxStyleSheetBasePool::Clear() SfxStyles::iterator aIter( aClearStyles.begin() ); while( aIter != aClearStyles.end() ) { + com::sun::star::uno::Reference< com::sun::star::lang::XComponent > xComp( static_cast< ::cppu::OWeakObject* >((*aIter).get()), com::sun::star::uno::UNO_QUERY ); + if( xComp.is() ) try + { + xComp->dispose(); + } + catch( com::sun::star::uno::Exception& ) + { + } + Broadcast( SfxStyleSheetHint( SFX_STYLESHEET_ERASED, *(*aIter++).get() ) ); } } -- cgit v1.2.3 From 95d72876f31b2b0d4c398236354401ee93ac6b72 Mon Sep 17 00:00:00 2001 From: Kohei Yoshida Date: Wed, 12 May 2010 10:35:18 -0400 Subject: koheiautodecimal: #i111533# Adjust the default display format for general number format cells. --- svl/source/numbers/zformat.cxx | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) (limited to 'svl') diff --git a/svl/source/numbers/zformat.cxx b/svl/source/numbers/zformat.cxx index accf306a20df..589bfd1b31dd 100644 --- a/svl/source/numbers/zformat.cxx +++ b/svl/source/numbers/zformat.cxx @@ -1824,14 +1824,14 @@ void SvNumberformat::ImpGetOutputStdToPrecision(double& rNumber, String& rOutStr } #endif - // If truncating the value to desired precision alters the original value, - // we should show the trailing zeros, otherwise strip them. - double fRounded = ::rtl::math::round(rNumber, nPrecision); - bool bRemoveZeros = ::rtl::math::approxEqual(fRounded, rNumber); + // We decided to strip trailing zeros unconditionally, since binary + // double-precision rounding error makes it impossible to determine e.g. + // whether 844.10000000000002273737 is what the user has typed, or the + // user has typed 844.1 but IEEE 754 represents it that way internally. rOutString = ::rtl::math::doubleToUString( rNumber, rtl_math_StringFormat_F, nPrecision /*2*/, - GetFormatter().GetNumDecimalSep().GetChar(0), bRemoveZeros ); + GetFormatter().GetNumDecimalSep().GetChar(0), true ); if (rOutString.GetChar(0) == '-' && rOutString.GetTokenCount('0') == rOutString.Len()) rOutString.EraseLeadingChars('-'); // nicht -0 @@ -2079,7 +2079,7 @@ BOOL SvNumberformat::GetOutputString(double fNumber, bool bSign = ::rtl::math::isSignBitSet(fNumber); if (bSign) fNumber = -fNumber; - ImpGetOutputInputLine(fNumber, OutString); + ImpGetOutputStdToPrecision(fNumber, OutString, 10); // Use 10 decimals for general 'unlimited' format. if (fNumber < EXP_LOWER_BOUND) { xub_StrLen nLen = OutString.Len(); -- cgit v1.2.3