summaryrefslogtreecommitdiff
path: root/vcl/source/app/timer.cxx
diff options
context:
space:
mode:
Diffstat (limited to 'vcl/source/app/timer.cxx')
-rw-r--r--vcl/source/app/timer.cxx8
1 files changed, 4 insertions, 4 deletions
diff --git a/vcl/source/app/timer.cxx b/vcl/source/app/timer.cxx
index 703f7e81f03d..ecbfa7467cdc 100644
--- a/vcl/source/app/timer.cxx
+++ b/vcl/source/app/timer.cxx
@@ -92,7 +92,7 @@ void Timer::ImplTimerCallbackProc()
ImplTimerData* pPrevTimerData;
sal_uLong nMinPeriod = MAX_TIMER_PERIOD;
sal_uLong nDeltaTime;
- sal_uLong nTime = Time::GetSystemTicks();
+ sal_uLong nTime = tools::Time::GetSystemTicks();
if ( pSVData->mbNoCallTimer )
return;
@@ -133,7 +133,7 @@ void Timer::ImplTimerCallbackProc()
}
// determine new time
- sal_uLong nNewTime = Time::GetSystemTicks();
+ sal_uLong nNewTime = tools::Time::GetSystemTicks();
pPrevTimerData = NULL;
pTimerData = pSVData->mpFirstTimerData;
while ( pTimerData )
@@ -263,7 +263,7 @@ void Timer::Start()
// insert timer and start
mpTimerData = new ImplTimerData;
mpTimerData->mpTimer = this;
- mpTimerData->mnUpdateTime = Time::GetSystemTicks();
+ mpTimerData->mnUpdateTime = tools::Time::GetSystemTicks();
mpTimerData->mnTimerUpdate = pSVData->mnTimerUpdate;
mpTimerData->mbDelete = false;
mpTimerData->mbInTimeout = false;
@@ -291,7 +291,7 @@ void Timer::Start()
}
else
{
- mpTimerData->mnUpdateTime = Time::GetSystemTicks();
+ mpTimerData->mnUpdateTime = tools::Time::GetSystemTicks();
mpTimerData->mnTimerUpdate = pSVData->mnTimerUpdate;
mpTimerData->mbDelete = false;
}