summaryrefslogtreecommitdiff
path: root/idl
diff options
context:
space:
mode:
authorFrancisco Saito <saito@4linux.com.br>2011-03-29 21:38:39 +0100
committerMichael Meeks <michael.meeks@novell.com>2011-03-29 21:40:28 +0100
commite60d365c86b164a4a6940a39377b0b580c9e918d (patch)
treed979889d5550e731e915c1524296a1a353155183 /idl
parentc74cb0140dba427d5b54d2a983a84270021d71ee (diff)
drop bogus executable flag from [ch]xx/bas/asm files
Diffstat (limited to 'idl')
-rw-r--r--[-rwxr-xr-x]idl/inc/basobj.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/bastype.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/char.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/command.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/database.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/globals.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/hash.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/lex.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/module.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/object.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/pch/precompiled_idl.cxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/pch/precompiled_idl.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/slot.hxx0
-rw-r--r--[-rwxr-xr-x]idl/inc/types.hxx0
-rw-r--r--[-rwxr-xr-x]idl/source/cmptools/char.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/cmptools/hash.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/cmptools/lex.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/basobj.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/bastype.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/module.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/object.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/slot.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/objects/types.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/prj/command.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/prj/database.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/prj/globals.cxx0
-rw-r--r--[-rwxr-xr-x]idl/source/prj/svidl.cxx0
-rw-r--r--[-rwxr-xr-x]idl/util/idlpch.cxx0
28 files changed, 0 insertions, 0 deletions
diff --git a/idl/inc/basobj.hxx b/idl/inc/basobj.hxx
index ff1d7b48b569..ff1d7b48b569 100755..100644
--- a/idl/inc/basobj.hxx
+++ b/idl/inc/basobj.hxx
diff --git a/idl/inc/bastype.hxx b/idl/inc/bastype.hxx
index a95114954eab..a95114954eab 100755..100644
--- a/idl/inc/bastype.hxx
+++ b/idl/inc/bastype.hxx
diff --git a/idl/inc/char.hxx b/idl/inc/char.hxx
index 0c0528d08a98..0c0528d08a98 100755..100644
--- a/idl/inc/char.hxx
+++ b/idl/inc/char.hxx
diff --git a/idl/inc/command.hxx b/idl/inc/command.hxx
index f8ea98a5e63f..f8ea98a5e63f 100755..100644
--- a/idl/inc/command.hxx
+++ b/idl/inc/command.hxx
diff --git a/idl/inc/database.hxx b/idl/inc/database.hxx
index 74ccbd575354..74ccbd575354 100755..100644
--- a/idl/inc/database.hxx
+++ b/idl/inc/database.hxx
diff --git a/idl/inc/globals.hxx b/idl/inc/globals.hxx
index 525c2a9e27e2..525c2a9e27e2 100755..100644
--- a/idl/inc/globals.hxx
+++ b/idl/inc/globals.hxx
diff --git a/idl/inc/hash.hxx b/idl/inc/hash.hxx
index 6449dfd7b9e6..6449dfd7b9e6 100755..100644
--- a/idl/inc/hash.hxx
+++ b/idl/inc/hash.hxx
diff --git a/idl/inc/lex.hxx b/idl/inc/lex.hxx
index 253083d7e9e8..253083d7e9e8 100755..100644
--- a/idl/inc/lex.hxx
+++ b/idl/inc/lex.hxx
diff --git a/idl/inc/module.hxx b/idl/inc/module.hxx
index 964cf148b87a..964cf148b87a 100755..100644
--- a/idl/inc/module.hxx
+++ b/idl/inc/module.hxx
diff --git a/idl/inc/object.hxx b/idl/inc/object.hxx
index d4483da3f6c9..d4483da3f6c9 100755..100644
--- a/idl/inc/object.hxx
+++ b/idl/inc/object.hxx
diff --git a/idl/inc/pch/precompiled_idl.cxx b/idl/inc/pch/precompiled_idl.cxx
index 7515c4ededbc..7515c4ededbc 100755..100644
--- a/idl/inc/pch/precompiled_idl.cxx
+++ b/idl/inc/pch/precompiled_idl.cxx
diff --git a/idl/inc/pch/precompiled_idl.hxx b/idl/inc/pch/precompiled_idl.hxx
index 977fd5d3b09e..977fd5d3b09e 100755..100644
--- a/idl/inc/pch/precompiled_idl.hxx
+++ b/idl/inc/pch/precompiled_idl.hxx
diff --git a/idl/inc/slot.hxx b/idl/inc/slot.hxx
index 8363b19db5cd..8363b19db5cd 100755..100644
--- a/idl/inc/slot.hxx
+++ b/idl/inc/slot.hxx
diff --git a/idl/inc/types.hxx b/idl/inc/types.hxx
index 4913c21c4870..4913c21c4870 100755..100644
--- a/idl/inc/types.hxx
+++ b/idl/inc/types.hxx
diff --git a/idl/source/cmptools/char.cxx b/idl/source/cmptools/char.cxx
index 19848ffa96d4..19848ffa96d4 100755..100644
--- a/idl/source/cmptools/char.cxx
+++ b/idl/source/cmptools/char.cxx
diff --git a/idl/source/cmptools/hash.cxx b/idl/source/cmptools/hash.cxx
index 6ddc5a618bce..6ddc5a618bce 100755..100644
--- a/idl/source/cmptools/hash.cxx
+++ b/idl/source/cmptools/hash.cxx
diff --git a/idl/source/cmptools/lex.cxx b/idl/source/cmptools/lex.cxx
index f02361d57200..f02361d57200 100755..100644
--- a/idl/source/cmptools/lex.cxx
+++ b/idl/source/cmptools/lex.cxx
diff --git a/idl/source/objects/basobj.cxx b/idl/source/objects/basobj.cxx
index 7a284999e6eb..7a284999e6eb 100755..100644
--- a/idl/source/objects/basobj.cxx
+++ b/idl/source/objects/basobj.cxx
diff --git a/idl/source/objects/bastype.cxx b/idl/source/objects/bastype.cxx
index 5556da3ad4b5..5556da3ad4b5 100755..100644
--- a/idl/source/objects/bastype.cxx
+++ b/idl/source/objects/bastype.cxx
diff --git a/idl/source/objects/module.cxx b/idl/source/objects/module.cxx
index 1ee58edc41ff..1ee58edc41ff 100755..100644
--- a/idl/source/objects/module.cxx
+++ b/idl/source/objects/module.cxx
diff --git a/idl/source/objects/object.cxx b/idl/source/objects/object.cxx
index a08e3e430d91..a08e3e430d91 100755..100644
--- a/idl/source/objects/object.cxx
+++ b/idl/source/objects/object.cxx
diff --git a/idl/source/objects/slot.cxx b/idl/source/objects/slot.cxx
index 2f4f219c2e6c..2f4f219c2e6c 100755..100644
--- a/idl/source/objects/slot.cxx
+++ b/idl/source/objects/slot.cxx
diff --git a/idl/source/objects/types.cxx b/idl/source/objects/types.cxx
index 7a006f2f8428..7a006f2f8428 100755..100644
--- a/idl/source/objects/types.cxx
+++ b/idl/source/objects/types.cxx
diff --git a/idl/source/prj/command.cxx b/idl/source/prj/command.cxx
index a112e3b93f83..a112e3b93f83 100755..100644
--- a/idl/source/prj/command.cxx
+++ b/idl/source/prj/command.cxx
diff --git a/idl/source/prj/database.cxx b/idl/source/prj/database.cxx
index 40831709fe65..40831709fe65 100755..100644
--- a/idl/source/prj/database.cxx
+++ b/idl/source/prj/database.cxx
diff --git a/idl/source/prj/globals.cxx b/idl/source/prj/globals.cxx
index cf79f7c02296..cf79f7c02296 100755..100644
--- a/idl/source/prj/globals.cxx
+++ b/idl/source/prj/globals.cxx
diff --git a/idl/source/prj/svidl.cxx b/idl/source/prj/svidl.cxx
index a1e2fd37d773..a1e2fd37d773 100755..100644
--- a/idl/source/prj/svidl.cxx
+++ b/idl/source/prj/svidl.cxx
diff --git a/idl/util/idlpch.cxx b/idl/util/idlpch.cxx
index b32ec99901c9..b32ec99901c9 100755..100644
--- a/idl/util/idlpch.cxx
+++ b/idl/util/idlpch.cxx