summaryrefslogtreecommitdiff
path: root/drivers/clk
AgeCommit message (Expand)AuthorFilesLines
2018-07-11clk: aspeed: Support HPLL strapping on ast2400Joel Stanley1-13/+29
2018-07-09clk: mvebu: armada-37xx-periph: Fix switching CPU rate from 300Mhz to 1.2GHzGregory CLEMENT1-0/+38
2018-07-06clk: aspeed: Mark bclk (PCIe) and dclk (VGA) as criticalJoel Stanley1-2/+2
2018-07-06clk/mmcc-msm8996: Make mmagic_bimc_gdsc ALWAYS_ONVivek Gautam1-0/+1
2018-07-06Merge tag 'meson-clk-fixes-4.18-1' of https://github.com/BayLibre/clk-meson i...Stephen Boyd2-1/+2
2018-07-06clk: aspeed: Treat a gate in reset as disabledBenjamin Herrenschmidt1-0/+13
2018-07-06clk: Really show symbolic clock flags in debugfsGeert Uytterhoeven1-2/+1
2018-07-06clk: qcom: gcc-msm8996: Disable halt check on UFS tx clockVinod Koul1-0/+1
2018-06-27Merge tag 'clk-davinci-fixes-4.18' of https://github.com/dlech/linux into clk...Stephen Boyd2-2/+2
2018-06-25clk: davinci: fix a typo (which leads to build failures)Bartosz Golaszewski1-1/+1
2018-06-25clk: davinci: cfgchip: testing the wrong variableDan Carpenter1-1/+1
2018-06-21clk: sunxi-ng: replace lib-y with obj-yMasahiro Yamada2-25/+16
2018-06-21clk: meson: audio-divider is one basedJerome Brunet1-1/+1
2018-06-19clk: meson-gxbb: set fclk_div2 as CLK_IS_CRITICALNeil Armstrong1-0/+1
2018-06-15docs: Fix some broken referencesMauro Carvalho Chehab2-3/+3
2018-06-12treewide: devm_kzalloc() -> devm_kcalloc()Kees Cook2-4/+6
2018-06-12treewide: kzalloc() -> kcalloc()Kees Cook11-14/+15
2018-06-09Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds138-1156/+12576
2018-06-06Merge tag 'overflow-v4.18-rc1' of git://git.kernel.org/pub/scm/linux/kernel/g...Linus Torvalds24-55/+50
2018-06-06Merge tag 'printk-for-4.18' of git://git.kernel.org/pub/scm/linux/kernel/git/...Linus Torvalds1-4/+5
2018-06-06treewide: Use struct_size() for devm_kmalloc() and friendsKees Cook12-26/+28
2018-06-06treewide: Use struct_size() for kmalloc()-familyKees Cook12-29/+22
2018-06-05clk: renesas: cpg-mssr: Stop using printk format %pCrGeert Uytterhoeven1-4/+5
2018-06-04Merge branch 'clk-imx6ul' into clk-nextStephen Boyd1-1/+1
2018-06-04Merge branches 'clk-davinci-psc-da830', 'clk-renesas', 'clk-at91-recalc', 'cl...Stephen Boyd50-502/+1545
2018-06-04Merge branch 'clk-qcom-8996-halt' into clk-nextStephen Boyd1-0/+6
2018-06-04Merge branch 'clk-qcom-sdm845' into clk-nextStephen Boyd12-62/+4395
2018-06-04Merge branches 'clk-match-string', 'clk-ingenic', 'clk-si544-round-fix' and '...Stephen Boyd7-70/+148
2018-06-04Merge branches 'clk-imx7d', 'clk-hisi-stub', 'clk-mvebu', 'clk-imx6-epit' and...Stephen Boyd8-178/+71
2018-06-04Merge branches 'clk-imx6sx', 'clk-imx7d-enet' and 'clk-aspeed-24' into clk-nextStephen Boyd4-17/+24
2018-06-04Merge branches 'clk-allwinner', 'clk-rockchip', 'clk-tegra', 'clk-berlin' and...Stephen Boyd29-269/+399
2018-06-04Merge branches 'clk-hisi-usb', 'clk-silent-bulk', 'clk-mtk-hdmi', 'clk-mtk-ma...Stephen Boyd7-4/+146
2018-06-04Merge branches 'clk-stm32mp1', 'clk-samsung', 'clk-uniphier-mpeg', 'clk-strat...Stephen Boyd5-76/+89
2018-06-04Merge branches 'clk-qcom-rpmh', 'clk-npcm7xx', 'clk-of-parent-count' and 'clk...Stephen Boyd3-0/+658
2018-06-04Merge branch 'clk-actions' into clk-nextStephen Boyd20-0/+2261
2018-06-04Merge branches 'clk-warn', 'clk-core', 'clk-spear' and 'clk-qcom-msm8998' int...Stephen Boyd171-3940/+17783
2018-06-04Merge branches 'acpi-soc' and 'acpi-tables'Rafael J. Wysocki2-1/+79
2018-06-02clk: qcom: Export clk_fabia_pll_configure()Stephen Boyd1-0/+1
2018-06-01clk: bcm: Update and add Stingray clock entriesPramod Kumar1-15/+120
2018-06-01clk-si544: Properly round requested frequency to nearest matchMike Looijmans1-0/+1
2018-06-01clk: ingenic: jz4770: Add 150us delay after enabling VPU clockPaul Cercueil1-1/+1
2018-06-01clk: ingenic: jz4770: Enable power of AHB1 bus after ungating VPU clockPaul Cercueil1-2/+2
2018-06-01clk: ingenic: jz4770: Modify C1CLK clock to disable CPU clock stop on idlePaul Cercueil1-1/+2
2018-06-01clk: ingenic: jz4770: Change OTG from custom to standard gated clockPaul Cercueil1-37/+5
2018-06-01clk: ingenic: Support specifying "wait for clock stable" delayPaul Cercueil2-0/+5
2018-06-01clk: ingenic: Add support for clocks whose gate bit is invertedPaul Cercueil2-2/+5
2018-06-01clk: use match_string() helperYisheng Xie1-6/+2
2018-06-01clk: bcm2835: use match_string() helperYisheng Xie1-7/+6
2018-06-01clk: Return void from debug_init opStephen Boyd2-19/+14
2018-06-01clk: remove clk_debugfs_add_file()Greg Kroah-Hartman1-13/+0